NTU EDALab -- Register


Please email to webmaster register for access to the NTU EDA Lab tools at the first time.
You need to tell webmaster your name, company(school), email address, wanted software, and your purpose.
You will be getted a password to the archive page shortly after you register.
The software is made available free of charge, for research and benchmarking purposes.


Last Update: September 19, 2021
If you have any problems, please mail to the webmaster.